@testb = (  65,   66,   67,   68,   69,   70,   71,   72,   73,   74,   75,   76,   77,   78,   79,   80); $md5->reset; for $i (0..15) {  $md5->add (@testb[$i]);  printf ("%02X",@testb[$i]); } print ("\n"); print uc ($md5->hexdigest);