Thread pack/unpack Daten von Socket (27 answers)
Opened by monti at 2012-11-22 19:47

monti
 2012-11-23 10:58
#163548 #163548
User since
2011-08-05
57 Artikel
BenutzerIn
[default_avatar]
Sorry, wenn ich einfach nochmal antworte.

Ich habe nochmal mit dem Datensender gepsorchen, es ist definiert, wieviele Daten wann kommen. Beim Erstconnect kommen immer 11 Byte automatisch rein. Danach wird nur noch gepollt.

Die Verwendung von IO::Select sollte imho sicherstellen, dass ich dann vom Socket lese, wenn auch Daten zum lesen da sind, oder irre ich hier?
Insofern sollte eine Anpassung auf

Code: (dl )
1
2
3
4
5
6
if ($connection == $listen_socket)
{
my $client = $listen_socket->accept();
$select->add($client);
$client->recv($data,1024);
}

Die 11 Byte des Erstconnects auf jedenfall einsammeln.
In einem ersten Test hat das nun auch geklappt. Wenn ich $data ausgebe, sehe ich die Ascii Interpretation der Hex Daten von weiter oben.

Nun habe ich wieder das ursprüngliche Problem, leider etwas komplizierter aufgrund der verqueren Codierung (Zeichen "3" und "4" Ascii wird zu 0x34h).

View full thread pack/unpack Daten von Socket